windows下怎样简单搭建Questa的UVM平台-成都快上网建站

windows下怎样简单搭建Questa的UVM平台

本篇文章给大家分享的是有关windows下怎样简单搭建Questa的UVM平台,小编觉得挺实用的,因此分享给大家学习,希望大家阅读完这篇文章后可以有所收获,话不多说,跟着小编一起来看看吧。

在诏安等地区,都构建了全面的区域性战略布局,加强发展的系统性、市场前瞻性、产品创新能力,以专注、极致的服务理念,为客户提供网站制作、网站建设 网站设计制作定制网站,公司网站建设,企业网站建设,品牌网站建设,全网整合营销推广,外贸网站制作,诏安网站建设费用合理。

questa 10.6c提供UVM1.1d,UVM1.2的库,因此不需要再去寻找资源,直接搭建即可。

  1. 编写sim.do文件

set UVM_DPI_HOME D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/libset UVM_src  D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/srcvlib workvlog +incdir+$UVM_src +incdir+C:/Users/13772/Desktop/UVM_ref -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  testbench.svvsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top -novoptrun -all

上述代码中,

  1. 第一行指定了questa下UVM的DPI接口位置

  2. 第二行指定了UVM1.2库源代码的位置

  3. 第三行是创建work工作库

  4. 第四行是把自己的代码和uvm的代码加进去,编译

  5. 仿真

    2. 写.bat文件,如下所示:

windows下怎样简单搭建Questa的UVM平台

    3.更改modelsim.ini文件,首先去掉其只读属性,打开文件,把其中的UVM1.1d更改为1.2。然后再加上只读属性。

    4.双击run.bat文件,就可以自动跑起来了。

结果如下所示:

windows下怎样简单搭建Questa的UVM平台

以上就是windows下怎样简单搭建Questa的UVM平台,小编相信有部分知识点可能是我们日常工作会见到或用到的。希望你能通过这篇文章学到更多知识。更多详情敬请关注创新互联行业资讯频道。


网站题目:windows下怎样简单搭建Questa的UVM平台
文章来源:http://kswjz.com/article/jcodjj.html
扫二维码与项目经理沟通

我们在微信上24小时期待你的声音

解答本文疑问/技术咨询/运营咨询/技术建议/互联网交流